{"version":"1.0","provider_name":"Verilog Pro","provider_url":"https:\/\/www.verilogpro.com","author_name":"Jason Yu","author_url":"https:\/\/www.verilogpro.com\/author\/jasonkkygmail-com\/","title":"One-hot State Machine in SystemVerilog - Verilog Pro","type":"rich","width":600,"height":338,"html":"
One-hot State Machine in SystemVerilog – Reverse Case Statement<\/a><\/blockquote>